Part Number Hot Search : 
SABC503 2SC892 SP8858I T89C5 GCM2165 26MB5 SMO1137V 20WT04FN
Product Description
Full Text Search
 

To Download ST486DX Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  october 1995 1/8 st 486 dx asic core fully static 3.3v 486 dx/dx2/dx4 asic core preliminary data n fully static 486 compatible core able to operate from d.c to 120mhz n manufactured in a 0.35 micron five layer metal hcmos process n 8k byte unified instruction and data cache with write back capability n parallel processing integral floating point unit, with automatic power down mode n low power system management m odes n cell libraries for 2.2v and 3.3v supply with 5 v i/o interface capability n 2 - input nand delay of 0.160 ns (typ) with fanout = 2. n broad i/o functionality including lvcmos, lvttl, gtl, pecl, and lvds. n high drive i/o; capab ility of sinking up to 48 ma with slew rate control, current spike sup- pression and impedance matching. n generators to support spram, dpram, rom and many other embedded functions. n fully independent power and ground configu- rations for inputs, core and outputs. n programmable i/o ring capability up to 1000 pads. n output buffers capable of driving isa, eisa, pci, mca, and scsi interface levels. n active pull up and pull down devices. n buskeeper i/o functions. n oscillators for wide frequency spectrum. n broad range of 400 ssi cells. n design for test includes lssd macro library option and ieee 1149.1 jtag boundary scan architecture built in. n cadence based design system with inter- faces from multiple workstations. n broad ceramic and plastic package range. n latchup trigger current > +/- 500 ma. esd protection > +/- 4000 volts. aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aa aa aaaaaaaaaaaaaaaaaaaaaaa aa aa aa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aa aa a a aaaa a aaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aa a a aa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaa aaa aa aa aa aaaaaaaaaaaaaaaaaaaaaa a a a aaaa a aaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aa a a aa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaaa aaa aaa aa aa aa aaaaaaaaaaaaaaaaaaaaaa a a a aaaa a aa a aaaa aaa a a a a a a aaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaa a a a aaa aaa a a a a a a aaa aaa a a a a a a aaa aaaa a aa a a aa a aaaa aaa a a a aaa aaaa a aa a a aa a aaaa aaa a a a a a a a a a aaa aaa a a a aaa aaaa a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaa a a a a a a aaa aaa a a a aaa aaaa a aa a a aa a aaaa aaa a a a a a a a a a aaa aaa a a a aaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaa a a a a a a aaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aa a a aa a aaaa aaaa a aa a aaaa aaaa a aaa aaaa a a a aaaa a aaa aaaa aa a a aa aaaa a aa a aaaa aaaa a aa a aaaa aaaa a aaa aaaa aa a a aa aaaa a aa a aaaa aaaa a aa a aaaa aaaa a aa a aaaa aaaa a aaa aaaa a a a aaaa a aaa aaaa aa a a aa aaa a a a aaa aaaa a aa a aaaa aaaa a aaa aaaa aa a a aa aaaa a aa a aaaa aaaa a aaa aaaa a a a aaa a a a aaa aaa a a a a a a aaa aaa a a a a a a a a a aaa aaa a a a aaa aaa a a a aaa aaa a a a a a a a a a aaa aaa a a a a a a aaa aaa a a a a a a aaa aaa a a a a a a aaa aaa a a a a a a a a a aaa aaa a a a a a a a a a aaa aaa a a a aaa aaa a a a aaa aaa a a a a a a a a a aaa aaa a a a aaa aaa a a a aaa sea of gates standard cells c us tom i/o programm able i/o e.g ramdac svga chipset / pci id e / isa 486 dx core rom ram dpram figure 1. example 486 dx core asic
st 486 dx asic core 2/8 a product overview the st 486 dx core is based on the design of the sgs-thomson standard 486 dx4 product. the core is capable of operating at the external bus speed or at two or three times the bus speed up to a maximum of 120mhz. since the design is fully static the core can operate at any frequency between d.c and 120mhz. the core is manufactured on a high performance, low voltage, five level metal, hcmos 0.35 micron process to achieve sub-nanosecond internal speeds while offering very low power dissipation and high noise immunity. the potential total gate count for application specific devices exceeds 2 million equivalent usable gates. the core operates over a vdd voltage range of 2.2 to 3.6 volts. the core comes available with a full range of ssi, msi libraries as well as generators for spram, dpram, rom. where process and design philosophy permit it is possible to integrate existing standard devices within a 486 core design. a full set of chipset function blocks are available to build support subsystems on chip blocks such as ide controller, pci bridge, dram controller etc. the i/o can be configured for circuits ranging from low voltage cmos and ttl to 200 mhz plus low swing differential circuits. clock-tripled cpu core the ST486DX core in dx4 mode provides up to 2.8 times the performance of a 486dx at the same external clock frequency. this level of performance is achieved by tripling the frequency of the input clock and using the resulting signal to drive the cpu core. to further enhance this architecture, the ST486DX core reduces the performance penalty of slow external memory accesses through use of an on-chip write-back cache and ei ght write buffers. the cpu core consists of a five-stage pipeline optimized for minimal instruction cycle times and includes all necessary hardware interlocks to permit successive instruction execution overlap. the execution stage of the pipeline executes simple but frequently used instructions in a single clock cycle and the hardware multiplier executes 16-bit integer multiplications in only three clocks. on-chip write-back cache the ST486DX core on-chip cache can be configured to run in traditional write-through mode or in a higher performance write-back mode. the write-back cache mode was specifically designed to optimize performance of the cpu core by eliminating bus bottlenecks caused by unnecessary external write cycles. this write- back architecture is especially effective in improving performance of the clock-tripled ST486DX4 core. traditional write-through cache architecture require that all writes to the cache also update external memory simultaneously. these unnecessary write cycles create bottle necks which result in cpu stalls and adversely impact performance. in contrast, a write-back architecture allows data to be written to the cache without updating external memory. with a write- back cache, external write cycles are only required when a cache miss occurs, a modified line is replaced in the cache, or when an external bus master requires access to data. the ST486DX core cache is an 8-kilobyte unified instruction and data cache implemented using a four-way set associative architecture and a least recen tly used (lru) replacement algorithm. the cache is designed for optimum performance in write-back mode, however, the cache can be operated in write-through mode. the cache line size is 16 bytes and new lines are only allocated during memory read cycles. valid status is maintained on a 16-byte cache line basis, but modified or "dirty" status for write-back mode is maintained on a 4-byte (double-word) basis. therefore, only the double-words that have been modified are written back to external memory when a line is replaced in the cache. the cpu core can access the cache in a single internal clock cycle for both reads and writes. fpu operations since the fpu is resident within the cpu, the overhead associated with external maths capriciousness cycles is eliminated. if the fpu is not in use, the fpu is automatically powered down. this feature reduces overall power consumption.
3/8 st 486 dx asic core a system management mode system management mode (smm) provides an additional interrupt and a separate address space that can be used for system power management or software transparent emulation of i/o peripherals. smm is entered using the system management interrupt (smi#) or smint instruction. while running in isolated smm address space, the smi interrupt routine can execute without interfering with the operating system or application programs. after entering smm, portions of the cpu state are automatically saved. program execution begins at the base of smm address space. the location and size of the smm memory are programmable within the ST486DX core. eight smm instructions have been added to the 486 instruction set that permit software entry into smm, as well as saving and restoring the total cpu state when in smm mode. power management the ST486DX core power management features allow for a dramatic improvement in battery life over systems designed with non-static 486 processors. during suspend mode the typical current consumption is less than 1 percent of the full operation current. suspend mode is entered by either a hardware or a software initiated action. using the hardware method to initiate suspend mode involves a two- pin handshake between the susp# and suspa# signals. the software can initiate suspend mode through the execution of the halt instruction. once in suspend mode, the ST486DX core power consumption is further reduced by stopping the external clock input. the resulting current draw is typically 450 a. since the ST486DX core is static, no internal data is lost when the clock is stopped. signal summary the ST486DX core signal set includes ten cache interface signals, two capriciousness interface signals, two power management signals, two system managem ent mode signals, one power supply voltage control signal and one clock multiplier control signal. library the following section details the elements which make up the ST486DX core hcmos6 library. the elements are organised into three categories: - macrocell & macrofunctions - module generators - embedded functions macrocells and macrofunctions the hcmos 6 library has internal macrocells that are robust in variety and performance. the cell selection has been driven by the need of synthesis and hdl based design techniques. this offering is rich in buffers, complex combinatorial cells and multi power drive cells, which allow the synthesis tool to create a netlist compatible with the requirements of place and route tools. macrofunctions are implemented at layout by utilizing macroce lls and interconnecting to create the logic function. the macrofunctions include all the blocks ne eded to build a full pc chipset sub- system. examples include dram controller, uart, dma controller, interrupt controller, interval timer, ide controller, rtc, pci controller, midi port, etc. module generators a series of module generators are available to support a range of megafunctions. these modules enable the designer to choose individual parameters in order to create a compiled cell, which meets the specific application requirements. generators are available for megafunctions such as single port ram and dual port ram and rom. the compiled cell generators construct custom cells, which are implemented using a special leaf cell technique, ensuring predictable layout and accurate module characteristics. in choosing megafunctions the designer can consider the trade-offs between speed and area to generate a fully customized cell which meets their specific device requirements. embedded functions embedded megacells allow access to technologies that have been hitherto the domain of standard products.
st 486 dx asic core 4/8 a examples include mixed mode cells for graphics, dac/adc?s (4-9 bit), pll applications, and digital signal p rocessor functions for cellular comms, fax and high-speed modem. 100 mbps serial transputer links coupled with large and fast memory can be used for pipelining, caching and synchro circuits in modern cisc computing architecture. viterbi and reed solomon cores aim at the hdtv and satellite transmission markets. to support telecom needs for ccitt standard applications, adpcm cells supporting ct2 protocol have been developed. mpeg2 decoders interfacing directly to the system memory are ideal for settop and cable applications. design for testability using the internal test modes of the 486 core, accessed through special test logic, the core module can be thoroughly tested in stand alone mode at both wafer sort and packaged die test. the hcmos 6 library supports the jtag boundary scan and both edge and level sensitive scan design techniques by providing the necessary macroce lls. scan testing aids device testability by permitting access to internal nodes without requiring a separate external connection for each node accessed. testabil ity is as sured at device level with the close coupling of lssd latch elements, automatic test pattern generation (atpg) and high pattern depth tester architecture. at system level, sgs-thomson fully supports ieee 1149.1. several types of core scan cells are provided in the hcmos 6 library. package availability the hcmos 6 library is designed to be compatible with qfp and bga package types, in addition to the more traditional types of package. the options include quad flat pack (xqfp) offering ranges up to 304 pins. both high performance and high power variants are available as well as the tqfp thin types. ball grid array (bga) packages are available from 160 to 500 pins. pin counts for through board mounting range up to 299. for higher pin counts the range is compatible with the industry standard jedec and eia-j guardring quad flatpack (gqpf) with pin counts from 186 to 304. the diversity in pin count and package style gives the designer the opportunity to find the best compromise for system size, cost and performance requirements. design environment several interface levels are possible between sgs-thomson and the customer in the undertaking of a 486 core design. the four levels of interface are shown in figure 3. level 1 is characterized by sgs-thomson receiving the system specification and taking the design through to validation and fabrication. at level 2 interface the designer supplies a simulated netlist at the rtl hdl level. sgs-thomson then takes the design through synthesis and gate level simulation to layout, validation and fabrication. level 3 the designer completes the design to final gate level simulation. the design is then t aken through layout, validation and fabrication by sgs- thomson. at level 4 the designer completes all of the design and layout and supplies the design database to sgs-thomson in gds 2 format. sgs- thomson will then complete lvs and drc and generate the pg tape for mask generation and fabrication. technology for this product, a high performance, low voltage, five level metal, salicided poly and diffusion hcmos 0.35 micron process has been used to achieve sub-nanosecond internal speeds while offering very low power dissipation and high noise immunity. its fabrication involve more than 140 elementary operations, including selective tungsten vias, plasma interment dielectric deposition and cmp (chemical-mechanical planarization) for the incrementally oxides. methodology the design environment for x86 embedded products has been designed for maximum flexibility and reliability, and has been based on typical asic like design flows using hdl and synthesis methodologies.
5/8 st 486 dx asic core a simulation environment the key area of the design flow is the simulation environment that allows for multiple levels of design abstraction to be simulated concurrently. the cadence leapfrog/verilog-xl simulation engine has been chosen for this mix and match approach, allowing for gate level functional and timing verification for individual modules to be performed within a high level description of the entire device. core models the ST486DX core can be represented in the simulation environment through different model types such as a vhdl bus functional model or a model source hardware model. the model source option utilises ST486DX silicon interfaced to the vhdl/verilog software co-simulation environment through a software shell. system system specification behavioral hdl rtl hdl synthesis pre-layout gate level simulation layout post-layout gate level simulation manufact. and test level 1 customer level 2 level 3 level 4 interface levels sgs-thomson sgs-thomson sgs-thomson sgs-thomson customer customer customer figure 3. customer interfaces package name 84 100 120 128 144 160 168 176 180 196 208 224 225 256 257 304 313 400 480 gqfp pqfp tqfp bga plastic pga cpga pow pqfp with slug or spreader : packages in production : packages in development number of leads (pins) package options figure 2. standard package options
st 486 dx asic core 6/8 a a range of bus master/bus slave/bus monitor vhdl models are also available for high level 486 bus debug operations. syhthesis and static timing analysis the synopsys design compiler synthesis tool family can be used for gate level synthesis and static timing analysis. interface to the back end place and route engine allows for design specific wire load models to be used during the synthesis phase. synopsys library views for the standard cell libraries and high-level functional modules (such as the ST486DX core) are used to perform static timing analysis at block level, intra-block level and at the top chip level. usage of synopsys test comp iler enables the insertion of full or partial scan and also ieee jtag boundary scan insertion. delay evaluation and gate level simuation all cell timing information used for gate level simulation and static timing analysis will be generated by the sgs-thomson proprietary delay evaluator called early. this suite of programs evaluates delays using operating condition information, input slope conditions and estimated (pre-layout) parasitic capacitance or calculated (post-layout) parasitic resistance and capacitance. the output is in sdf format that can be annotated into the gate level simulator and static timing analysis tool. place and route this is performed on a module by module basis, and then at the top level using the cadence cell 3 layout tool. interface to floorplanning tools (e.g. preview, chipplanner) will allow customisable wire load models to be passed back to the synthesis environment and also help in the reduction of routing congestion which leads to smaller die size and fewer iterations of the design post-layout. rtl hdl test compiler logic synthesis floorplan synopsys synopsys manager gate level simulation tssi behavioural hdl floor planning bus / master model bus functional model model source hardware model delay evaluation and clock tree synthesis block level and chip level place and route cell 3 vhdl / verilog vhdl / verilog 486 views verilog netlist sdf sdf vhdl / verilog wire loads & placement data vhdl/ verilog co-simulation cadence leapfrog figure 4. design flow
7/8 st 486 dx asic core a
information furnished is believed to be accurate and reliable. however, sgs-thomson microe lectronics assumes no responsibility for the consequences of use of such information nor for any infr inge ments of patents or other rights of third parties which may result from its use. no license is granted by implication or otherwise under any patent or patent r ights of sgs-thomson microelectronics. spec ifications men- tioned in this publication are subject to change without notice. this publication supersedes and r eplaces all information previously supplied. sgs-thomson microelectronics products are not authorized for use as critical components in life support devices or systems without ex- press written approval of sgs-thomson microelectronics. 1995 sgs-thomson microelectronics - all rights rese rved sgs-thomson microelectro nics group of companies australia - brazil - canada - china - france - germany - hong kong - italy - japan - korea - malaysia - malta - morocco - the nethe rlands - singapore - spain - sweden - switze rland - taiwan - united kingdom - u.s.a. 8/8 st 486 dx asic core design centres usa phoenix, az 2337-85022 1000 bell road tel.: (1) 602-7886228 europe france technoparc du pays de gex 01630 saint genis-pouilly 165, rue edouard branly - b.p. 112 tel.: (+33) 50402924


▲Up To Search▲   

 
Price & Availability of ST486DX

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X